Mikroprozessor -Microprocessor

Texas Instruments TMS1000
Motorola 6800 (MC6800)
Ein moderner 64-Bit - x86-64 -Prozessor (AMD Ryzen 5 2600, Basierend auf Zen+ , 2017)
AMD Ryzen 7 1800X (2016, basierend auf Zen ) Prozessor in einem AM4 - Sockel auf einem Motherboard

Ein Mikroprozessor ist ein Computerprozessor, bei dem die Datenverarbeitungslogik und -steuerung auf einer einzigen integrierten Schaltung oder einer kleinen Anzahl integrierter Schaltungen enthalten ist. Der Mikroprozessor enthält die arithmetischen, logischen und Steuerschaltkreise, die erforderlich sind, um die Funktionen einer zentralen Verarbeitungseinheit eines Computers auszuführen. Die integrierte Schaltung ist in der Lage, Programmbefehle zu interpretieren und auszuführen und arithmetische Operationen durchzuführen. Der Mikroprozessor ist ein multifunktionaler, taktgesteuerter , registerbasierter , digitaler integrierter Schaltkreis , der Binärdaten als Eingabe akzeptiert und sie gemäß den in seinem Speicher gespeicherten Anweisungen verarbeitet, und liefert Ergebnisse (auch in binärer Form) als Ausgabe. Mikroprozessoren enthalten sowohl kombinatorische Logik als auch sequentielle digitale Logik und arbeiten mit Zahlen und Symbolen, die im binären Zahlensystem dargestellt werden.

Die Integration einer ganzen CPU in eine einzelne oder wenige integrierte Schaltungen unter Verwendung von Very-Large-Scale Integration (VLSI) reduzierte die Kosten für die Verarbeitungsleistung erheblich. Integrierte Schaltungsprozessoren werden in großen Stückzahlen durch hochautomatisierte Metalloxid-Halbleiter- (MOS) -Fertigungsprozesse hergestellt , was zu einem relativ niedrigen Stückpreis führt . Single-Chip-Prozessoren erhöhen die Zuverlässigkeit, da es viel weniger elektrische Verbindungen gibt, die ausfallen könnten. Da sich Mikroprozessordesigns verbessern, bleiben die Kosten für die Herstellung eines Chips (mit kleineren Komponenten, die auf einem Halbleiterchip gleicher Größe aufgebaut sind) gemäß dem Gesetz von Rock im Allgemeinen gleich .

Vor Mikroprozessoren wurden kleine Computer unter Verwendung von Baugruppenträgern mit Leiterplatten mit vielen mittelgroßen und kleinen integrierten Schaltungen , typischerweise vom TTL - Typ, gebaut. Mikroprozessoren kombinierten dies zu einem oder wenigen großen ICs. Der erste kommerziell erhältliche Mikroprozessor war der 1971 eingeführte Intel 4004 .

Kontinuierliche Steigerungen der Mikroprozessorkapazität haben seitdem andere Formen von Computern fast vollständig obsolet gemacht (siehe Geschichte der Computerhardware ), wobei ein oder mehrere Mikroprozessoren in allem verwendet werden, von den kleinsten eingebetteten Systemen und Handheld-Geräten bis zu den größten Mainframes und Supercomputern .

Struktur

Ein Blockdiagramm der Architektur des Z80 - Mikroprozessors, das den arithmetischen und logischen Abschnitt , die Registerdatei , den Steuerlogikabschnitt und die Puffer für externe Adress- und Datenleitungen zeigt

Die Komplexität einer integrierten Schaltung ist begrenzt durch physikalische Beschränkungen hinsichtlich der Anzahl von Transistoren , die auf einem Chip untergebracht werden können, der Anzahl von Gehäuseabschlüssen, die den Prozessor mit anderen Teilen des Systems verbinden können, und der Anzahl von Verbindungen, die hergestellt werden können auf dem Chip und die Wärme, die der Chip abführen kann . Die fortschreitende Technologie macht die Herstellung komplexerer und leistungsfähigerer Chips möglich.

Ein minimaler hypothetischer Mikroprozessor könnte nur eine Arithmetik-Logik-Einheit (ALU) und einen Steuerlogikabschnitt enthalten . Die ALU führt Addition, Subtraktion und Operationen wie AND oder OR durch. Jede Operation der ALU setzt ein oder mehrere Flags in einem Statusregister , die die Ergebnisse der letzten Operation anzeigen (Nullwert, negative Zahl, Überlauf oder andere). Die Steuerlogik ruft Befehlscodes aus dem Speicher ab und leitet die Folge von Operationen ein, die für die ALU erforderlich sind, um den Befehl auszuführen. Ein einzelner Operationscode kann viele einzelne Datenpfade, Register und andere Elemente des Prozessors beeinflussen.

Mit fortschreitender Technologie integrierter Schaltkreise war es möglich, immer komplexere Prozessoren auf einem einzigen Chip herzustellen. Die Größe der Datenobjekte wurde größer; Durch das Zulassen von mehr Transistoren auf einem Chip konnten die Wortgrößen von 4- und 8-Bit- Wörtern auf die heutigen 64-Bit- Wörter erhöht werden. Der Prozessorarchitektur wurden zusätzliche Funktionen hinzugefügt. Mehr On-Chip-Register beschleunigten Programme, und komplexe Anweisungen konnten verwendet werden, um kompaktere Programme zu erstellen. Gleitkomma-Arithmetik zum Beispiel war auf 8-Bit-Mikroprozessoren oft nicht verfügbar, sondern musste in Software ausgeführt werden . Die Integration der Gleitkommaeinheit , zunächst als separate integrierte Schaltung und dann als Teil desselben Mikroprozessorchips, beschleunigte Gleitkommaberechnungen.

Gelegentlich machten physikalische Beschränkungen integrierter Schaltungen Praktiken wie einen Bit-Slice- Ansatz notwendig. Anstatt ein ganzes langes Wort auf einer integrierten Schaltung zu verarbeiten, verarbeiten mehrere Schaltungen parallel Teilmengen jedes Wortes. Während dies zusätzliche Logik erforderte, um beispielsweise Übertrag und Überlauf innerhalb jedes Slice zu handhaben, war das Ergebnis ein System, das beispielsweise 32-Bit- Wörter unter Verwendung integrierter Schaltungen mit einer Kapazität von jeweils nur vier Bit verarbeiten konnte.

Die Fähigkeit, eine große Anzahl von Transistoren auf einem Chip unterzubringen, macht es möglich, Speicher auf demselben Die wie den Prozessor zu integrieren. Dieser CPU-Cache hat den Vorteil eines schnelleren Zugriffs als Off-Chip-Speicher und erhöht die Verarbeitungsgeschwindigkeit des Systems für viele Anwendungen. Die Taktfrequenz des Prozessors ist schneller gestiegen als die Geschwindigkeit des externen Speichers, daher ist ein Cache-Speicher erforderlich, wenn der Prozessor nicht durch einen langsameren externen Speicher verzögert werden soll.

Sonderanfertigungen

Ein Mikroprozessor ist eine Einheit für allgemeine Zwecke. Es folgten mehrere spezialisierte Verarbeitungsgeräte:

Überlegungen zu Geschwindigkeit und Leistung

Intel Core i9-9900K (2018, basierend auf Coffee Lake )

Mikroprozessoren können basierend auf ihrer Wortgröße, die ein Maß für ihre Komplexität ist, für unterschiedliche Anwendungen ausgewählt werden. Längere Wortgrößen ermöglichen es jedem Taktzyklus eines Prozessors, mehr Berechnungen auszuführen, entsprechen jedoch physikalisch größeren integrierten Schaltungschips mit höherem Standby- und Betriebsleistungsverbrauch . 4-, 8- oder 12-Bit-Prozessoren sind weit verbreitet in Mikrocontroller integriert, die eingebettete Systeme betreiben. Wenn ein System größere Datenmengen verarbeiten soll oder eine flexiblere Benutzeroberfläche erfordert , werden 16-, 32- oder 64-Bit-Prozessoren verwendet. Ein 8- oder 16-Bit- Prozessor kann einem 32-Bit-Prozessor für System-on-a-Chip- oder Mikrocontroller-Anwendungen vorgezogen werden, die eine extrem stromsparende Elektronik erfordern oder Teil einer integrierten Mixed-Signal-Schaltung mit rauschempfindlichem On-Chip sind analoge Elektronik wie hochauflösende Analog-Digital-Wandler oder beides. Das Ausführen von 32-Bit-Arithmetik auf einem 8-Bit-Chip könnte am Ende mehr Energie verbrauchen, da der Chip Software mit mehreren Anweisungen ausführen muss.

Eingebettete Anwendungen

Zu Tausenden von Artikeln, die traditionell nichts mit Computern zu tun hatten, gehören Mikroprozessoren. Dazu gehören Haushaltsgeräte , Fahrzeuge (und deren Zubehör), Werkzeuge und Prüfinstrumente, Spielzeug, Lichtschalter/Dimmer und elektrische Leistungsschalter , Rauchmelder, Akkupacks und audiovisuelle Hi-Fi-Komponenten (von DVD-Playern bis hin zu Plattenspielern ) . . Solche Produkte wie Mobiltelefone, DVD-Videosysteme und HDTV -Rundfunksysteme erfordern grundsätzlich Verbrauchergeräte mit leistungsstarken, kostengünstigen Mikroprozessoren. Zunehmend strengere Abgasreinigungsnormen verlangen von Automobilherstellern effektiv, Mikroprozessor-Motormanagementsysteme zu verwenden, um eine optimale Steuerung von Emissionen über die stark variierenden Betriebsbedingungen eines Automobils hinweg zu ermöglichen. Nicht programmierbare Steuerungen würden eine umfangreiche oder kostspielige Implementierung erfordern, um die mit einem Mikroprozessor möglichen Ergebnisse zu erzielen.

Ein Mikroprozessor-Steuerungsprogramm ( eingebettete Software ) kann an die Anforderungen einer Produktlinie angepasst werden, wodurch Leistungssteigerungen mit minimaler Neugestaltung des Produkts möglich sind. Einzigartige Merkmale können in den verschiedenen Modellen der Produktlinie zu vernachlässigbaren Produktionskosten implementiert werden.

Die Mikroprozessorsteuerung eines Systems kann Steuerungsstrategien bereitstellen, deren Implementierung unter Verwendung elektromechanischer Steuerungen oder speziell angefertigter elektronischer Steuerungen unpraktisch wäre. Beispielsweise kann das Steuersystem eines Verbrennungsmotors den Zündzeitpunkt basierend auf der Motordrehzahl, der Last, der Temperatur und jeder beobachteten Klopfneigung anpassen, wodurch der Motor mit einer Reihe von Kraftstoffqualitäten betrieben werden kann.

Geschichte

Das Aufkommen kostengünstiger Computer auf integrierten Schaltkreisen hat die moderne Gesellschaft verändert . Allzweck-Mikroprozessoren in Personalcomputern werden für Berechnungen, Textbearbeitung, Multimedia-Anzeige und Kommunikation über das Internet verwendet . Viele weitere Mikroprozessoren sind Teil eingebetteter Systeme , die eine digitale Steuerung unzähliger Objekte ermöglichen , von Haushaltsgeräten über Autos bis hin zu Mobiltelefonen und industrieller Prozesssteuerung . Mikroprozessoren führen binäre Operationen basierend auf der nach George Boole benannten booleschen Logik durch . Die Fähigkeit, Computersysteme mit Boolescher Logik zu bedienen, wurde erstmals 1938 in einer Diplomarbeit des späteren Professors und Masterstudenten Claude Shannon nachgewiesen. Shannon gilt als „Vater der Informationstheorie“.

Nach der Entwicklung von MOS-Chips für integrierte Schaltungen in den frühen 1960er Jahren erreichten MOS-Chips bis 1964 eine höhere Transistordichte und niedrigere Herstellungskosten als bipolare integrierte Schaltungen . Die Komplexität von MOS-Chips nahm mit einer vom Mooreschen Gesetz vorhergesagten Rate weiter zu , was zu einer groß angelegten Integration führte (LSI) mit Hunderten von Transistoren auf einem einzigen MOS-Chip Ende der 1960er Jahre. Die Anwendung von MOS-LSI-Chips auf Computer war die Grundlage für die ersten Mikroprozessoren, als Ingenieure erkannten, dass ein vollständiger Computerprozessor auf mehreren MOS-LSI-Chips enthalten sein kann. Designer in den späten 1960er Jahren strebten danach, die Funktionen der zentralen Verarbeitungseinheit (CPU) eines Computers auf einer Handvoll MOS-LSI-Chips zu integrieren, die als Mikroprozessoreinheiten (MPU)-Chipsätze bezeichnet werden.

Der erste kommerziell hergestellte Mikroprozessor war der Intel 4004 , der 1971 als einzelner MOS-LSI-Chip herausgebracht wurde. Der Ein-Chip-Mikroprozessor wurde durch die Entwicklung der MOS -Silizium-Gate- Technologie (SGT) ermöglicht. Die frühesten MOS-Transistoren hatten Aluminium -Metall-Gates , die der italienische Physiker Federico Faggin durch selbstausgerichtete Silizium -Gates ersetzte , um 1968 den ersten Silizium-Gate-MOS-Chip bei Fairchild Semiconductor zu entwickeln. Faggin kam später zu Intel und nutzte seine Silizium-Gate-MOS-Technologie für die Entwicklung der 4004, zusammen mit Marcian Hoff , Stanley Mazor und Masatoshi Shima im Jahr 1971. Der 4004 wurde für Busicom entwickelt, das bereits 1969 ein Multi-Chip-Design vorgeschlagen hatte, bevor Faggins Team bei Intel es in ein neues Single-Chip-Design umwandelte. Intel führte 1971 den ersten kommerziellen Mikroprozessor ein, den 4-Bit- Intel 4004. Bald darauf folgte 1972 der 8-Bit-Mikroprozessor Intel 8008 .

Andere eingebettete Anwendungen von 4-Bit- und 8-Bit-Mikroprozessoren, wie z. B. Terminals , Drucker , verschiedene Arten von Automatisierung usw., folgten bald darauf. Erschwingliche 8-Bit-Mikroprozessoren mit 16-Bit- Adressierung führten ab Mitte der 1970er Jahre auch zu den ersten Allzweck- Mikrocomputern .

Die erste Verwendung des Begriffs "Mikroprozessor" wird Viatron Computer Systems zugeschrieben , der die kundenspezifische integrierte Schaltung beschreibt, die in ihrem 1968 angekündigten kleinen Computersystem System 21 verwendet wird.

Seit den frühen 1970er Jahren folgt die Erhöhung der Kapazität von Mikroprozessoren dem Gesetz von Moore ; dies deutete ursprünglich darauf hin, dass sich die Zahl der Bauteile, die auf einem Chip untergebracht werden können, jedes Jahr verdoppelt. Mit der gegenwärtigen Technologie ist es tatsächlich alle zwei Jahre, und als Ergebnis änderte Moore den Zeitraum später auf zwei Jahre.

Erste Projekte

Diese Projekte lieferten ungefähr zur gleichen Zeit einen Mikroprozessor: der Central Air Data Computer (CADC) von Garrett AiResearch (1970), der TMS 1802NC von Texas Instruments (September 1971) und der 4004 von Intel (November 1971, basierend auf einem früheren Busicom von 1969). Entwurf). Der AL1- Mikroprozessor von Four-Phase Systems wurde wohl auch 1969 ausgeliefert.

Vierphasensysteme AL1 (1969)

Das Vierphasensystem AL1 war ein 8-Bit- Bit-Slice - Chip mit acht Registern und einer ALU. Es wurde 1969 von Lee Boysel entworfen . Damals war es Teil einer 24-Bit-CPU mit neun Chips und drei AL1s. Es wurde später als Mikroprozessor bezeichnet, als Boysel als Reaktion auf einen Rechtsstreit von Texas Instruments in den 1990er Jahren ein Demonstrationssystem konstruierte, bei dem ein einzelner AL1 zusammen mit RAM, ROM und einem Eingabe-Ausgabe-Gerät Teil eines Demonstrationscomputersystems im Gerichtssaal war.

Garrett AirResearch CADC (1970)

1968 wurde Garrett AiResearch (der die Designer Ray Holt und Steve Geller beschäftigte) eingeladen, einen Digitalcomputer zu produzieren, der mit elektromechanischen Systemen konkurrieren sollte, die damals für den Hauptflugsteuerungscomputer im neuen F-14 Tomcat- Jäger der US Navy entwickelt wurden. Das Design war 1970 abgeschlossen und verwendete einen MOS -basierten Chipsatz als Kern-CPU. Das Design war deutlich (etwa 20-mal) kleiner und viel zuverlässiger als die mechanischen Systeme, mit denen es konkurrierte, und wurde in allen frühen Tomcat-Modellen verwendet. Dieses System enthielt "einen parallelen 20-Bit -Multi-Mikroprozessor mit Pipeline -Verarbeitung ". Die Marine verweigerte die Veröffentlichung des Designs bis 1997. Die 1998 veröffentlichte Dokumentation zum CADC und zum MP944- Chipsatz ist bekannt. Ray Holts autobiografische Geschichte dieses Designs und dieser Entwicklung ist in dem Buch „The Accidental Engineer“ dargestellt.

Ray Holt machte 1968 seinen Abschluss an der California Polytechnic University und begann seine Karriere als Computerdesigner beim CADC. Von Anfang an wurde es bis 1998 geheim gehalten, als die US-Marine auf Holts Bitte die Dokumente öffentlich zugänglich machte. Holt hat behauptet, dass niemand diesen Mikroprozessor mit den späteren verglichen habe. Nach Parab et al. (2007),

Die um 1971 veröffentlichten wissenschaftlichen Arbeiten und Literatur zeigen, dass der MP944-Digitalprozessor, der für das Flugzeug F-14 Tomcat der US-Marine verwendet wurde, als erster Mikroprozessor qualifiziert ist. Obwohl interessant, war es kein Ein-Chip-Prozessor, ebenso wenig wie der Intel 4004 – beide waren eher wie ein Satz paralleler Bausteine, die Sie verwenden konnten, um ein Allzweckformular zu erstellen. Es enthält eine CPU, RAM , ROM und zwei weitere unterstützende Chips wie der Intel 4004. Es wurde mit der gleichen P-Kanal- Technologie hergestellt, nach Militärspezifikationen betrieben und hatte größere Chips – ein ausgezeichnetes Design der Computertechnik nach allen Maßstäben. Sein Design weist auf einen großen Fortschritt gegenüber Intel hin, und zwar zwei Jahre zuvor. Es funktionierte tatsächlich und flog in der F-14, als der Intel 4004 angekündigt wurde. Es weist darauf hin, dass das heutige Industriethema der konvergierenden DSP - Mikrocontroller - Architekturen 1971 begonnen wurde.

Diese Konvergenz von DSP- und Mikrocontroller-Architekturen ist als Digital Signal Controller bekannt .

Pico/Allgemeines Instrument

Der PICO1/GI250-Chip wurde 1971 eingeführt: Er wurde von Pico Electronics (Glenrothes, Schottland) entworfen und von General Instrument aus Hicksville, NY, hergestellt.

1971 stellten Pico Electronics und General Instrument (GI) ihre erste Zusammenarbeit bei ICs vor, einem vollständigen Ein-Chip-Rechner-IC für den Monroe/Litton Royal Digital III-Rechner. Dieser Chip könnte wohl auch behaupten, einer der ersten Mikroprozessoren oder Mikrocontroller mit ROM , RAM und einem RISC -Befehlssatz auf dem Chip zu sein. Das Layout für die vier Schichten des PMOS -Prozesses wurde von Hand im 500-fachen Maßstab auf Mylar-Film gezeichnet, was angesichts der Komplexität des Chips zu dieser Zeit eine bedeutende Aufgabe war.

Pico war ein Spinout von fünf GI-Designingenieuren, deren Vision es war, Single-Chip-Rechner-ICs zu entwickeln. Sie verfügten über umfangreiche Designerfahrung mit mehreren Taschenrechner-Chipsätzen sowohl mit GI als auch mit Marconi-Elliott . Die wichtigsten Teammitglieder waren ursprünglich von Elliott Automation beauftragt worden, einen 8-Bit-Computer in MOS zu entwickeln, und hatten 1967 beim Aufbau eines MOS-Forschungslabors in Glenrothes , Schottland, geholfen.

Rechner entwickelten sich zum größten Einzelmarkt für Halbleiter, sodass Pico und GI in diesem aufkeimenden Markt weiterhin beachtlichen Erfolg hatten. GI setzte seine Innovationen bei Mikroprozessoren und Mikrocontrollern mit Produkten wie CP1600, IOB1680 und PIC1650 fort. 1987 wurde das Geschäft von GI Microelectronics in das PIC-Mikrocontroller- Geschäft von Microchip ausgegliedert .

Intel 4004 (1971)

Der 4004 mit abgenommener Abdeckung (links) und im tatsächlichen Gebrauch (rechts)

Der Intel 4004 gilt allgemein als der erste echte Mikroprozessor, der auf einem einzigen Chip aufgebaut ist und einen Preis von 60 US-Dollar hat (entspricht 400 US-Dollar im Jahr 2021). Die erste bekannte Anzeige für den 4004 datiert vom 15. November 1971 und erschien in Electronic News . Der Mikroprozessor wurde von einem Team entwickelt, das aus dem italienischen Ingenieur Federico Faggin , den amerikanischen Ingenieuren Marcian Hoff und Stanley Mazor sowie dem japanischen Ingenieur Masatoshi Shima bestand .

Das Projekt, das den 4004 hervorbrachte, entstand 1969, als Busicom , ein japanischer Taschenrechnerhersteller, Intel bat, einen Chipsatz für Hochleistungs- Tischrechner zu bauen . Das ursprüngliche Design von Busicom sah einen programmierbaren Chipsatz vor, der aus sieben verschiedenen Chips bestand. Drei der Chips sollten eine Spezial-CPU bilden, deren Programm im ROM und die Daten im Schieberegister-Lese-Schreib-Speicher gespeichert sind. Ted Hoff , der Intel-Ingenieur, der mit der Bewertung des Projekts beauftragt war, glaubte, dass das Busicom-Design durch die Verwendung eines dynamischen RAM-Speichers für Daten anstelle eines Schieberegisterspeichers und einer traditionelleren Allzweck-CPU-Architektur vereinfacht werden könnte. Hoff entwickelte einen Vier-Chip-Architekturvorschlag: einen ROM-Chip zum Speichern der Programme, einen dynamischen RAM-Chip zum Speichern von Daten, ein einfaches I/O -Gerät und eine 4-Bit-Central Processing Unit (CPU). Obwohl er kein Chipdesigner war, dachte er, dass die CPU in einen einzigen Chip integriert werden könnte, aber da ihm das technische Know-how fehlte, blieb die Idee vorerst nur ein Wunsch.

Erster Mikroprozessor von Intel, der 4004

Während die Architektur und die Spezifikationen des MCS-4 aus der Interaktion von Hoff mit Stanley Mazor , einem ihm unterstellten Software-Ingenieur, und dem Busicom-Ingenieur Masatoshi Shima entstanden, wandten sich Mazor und Hoff 1969 anderen Projekten zu. Im April 1970 stellte Intel den italienischen Ingenieur Federico Faggin als Projektleiter ein, ein Schritt, der das endgültige Design der Single-Chip-CPU schließlich Wirklichkeit werden ließ (Shima entwarf in der Zwischenzeit die Busicom-Rechner-Firmware und unterstützte Faggin in den ersten sechs Monaten der Implementierung). Faggin, der ursprünglich 1968 bei Fairchild Semiconductor die Silicon-Gate -Technologie (SGT) entwickelte und den weltweit ersten kommerziellen integrierten Schaltkreis mit SGT, den Fairchild 3708, entwarf, hatte den richtigen Hintergrund, um das Projekt zum ersten kommerziellen Allzweck-Mikroprozessor zu führen . Da SGT seine eigene Erfindung war, verwendete Faggin es auch, um seine neue Methodik für das Design zufälliger Logik zu entwickeln, die es ermöglichte, eine Ein-Chip-CPU mit der richtigen Geschwindigkeit, Verlustleistung und Kosten zu implementieren. Der Manager der MOS-Designabteilung von Intel war zum Zeitpunkt der MCS-4-Entwicklung Leslie L. Vadász , aber Vadász' Aufmerksamkeit konzentrierte sich vollständig auf das Mainstream-Geschäft mit Halbleiterspeichern, sodass er die Leitung und das Management des MCS-4-Projekts Faggin überließ , der letztendlich dafür verantwortlich war, das 4004-Projekt zu seiner Realisierung zu führen. Produktionseinheiten des 4004 wurden erstmals im März 1971 an Busicom geliefert und Ende 1971 an andere Kunden ausgeliefert.

Texas Instruments TMX 1795 (1970-1971)

Zusammen mit Intel (der den 8008 entwickelte ) entwickelte Texas Instruments 1970–1971 einen Ein-Chip-CPU-Ersatz für das Datapoint 2200 - Terminal, den TMX 1795 (später TMC 1795). Wie der 8008 wurde er vom Kunden Datapoint abgelehnt. Laut Gary Boone erreichte der TMX 1795 nie die Produktion. Da es nach der gleichen Spezifikation gebaut wurde, war sein Befehlssatz dem Intel 8008 sehr ähnlich.

Texas InstrumentsTMS 1802NC (1971)

Der TMS1802NC wurde am 17. September 1971 angekündigt und implementierte einen Taschenrechner mit vier Funktionen. Der TMS1802NC war trotz seiner Bezeichnung nicht Teil der TMS 1000 -Serie; Es wurde später als Teil der TMS 0100-Serie umbenannt, die im TI Datamath-Rechner verwendet wurde. Obwohl der TMS1802NC als Rechner auf einem Chip vermarktet wurde, war er voll programmierbar, einschließlich einer CPU mit einem 11-Bit-Befehlswort, 3520 Bit (320 Anweisungen) ROM und 182 Bit RAM auf dem Chip.

Gilbert Hyatt

Gilbert Hyatt wurde ein Patent zuerkannt, das eine Erfindung beansprucht, die vor TI und Intel entstand und einen "Mikrocontroller" beschreibt. Das Patent wurde später für ungültig erklärt, jedoch nicht bevor erhebliche Lizenzgebühren gezahlt wurden.

8-Bit-Designs

Dem Intel 4004 folgte 1972 der Intel 8008 , der weltweit erste 8-Bit- Mikroprozessor. Der 8008 war jedoch keine Erweiterung des 4004-Designs, sondern der Höhepunkt eines separaten Designprojekts bei Intel, das aus einem Vertrag mit der Computer Terminals Corporation aus San Antonio, TX, über einen Chip für ein von ihnen entworfenes Terminal hervorging. der Datapoint 2200 – grundlegende Aspekte des Designs kamen nicht von Intel, sondern von CTC. 1968 entwickelten Vic Poor und Harry Pyle von CTC das ursprüngliche Design für den Befehlssatz und den Betrieb des Prozessors. 1969 beauftragte CTC zwei Unternehmen, Intel und Texas Instruments , mit der Herstellung einer Ein-Chip-Implementierung, die als CTC 1201 bekannt ist. Ende 1970 oder Anfang 1971 brach TI ab, da es nicht in der Lage war, ein zuverlässiges Teil herzustellen. 1970, als Intel das Teil noch liefern musste, entschied sich CTC dafür, ihre eigene Implementierung im Datapoint 2200 zu verwenden und stattdessen traditionelle TTL-Logik zu verwenden (daher war die erste Maschine, auf der "8008-Code" ausgeführt wurde, tatsächlich überhaupt kein Mikroprozessor und wurde geliefert ein Jahr früher). Intels Version des 1201-Mikroprozessors kam Ende 1971 an, war aber zu spät, langsam und erforderte eine Reihe zusätzlicher Support-Chips. CTC hatte kein Interesse daran, es zu verwenden. CTC hatte ursprünglich Intel für den Chip unter Vertrag genommen und hätte ihnen 50.000 US-Dollar (das entspricht 334.552 US-Dollar im Jahr 2021) für ihre Designarbeit geschuldet. Um nicht für einen Chip bezahlen zu müssen, den sie nicht wollten (und nicht verwenden konnten), entließ CTC Intel aus ihrem Vertrag und erlaubte ihnen die kostenlose Nutzung des Designs. Intel vermarktete ihn im April 1972 als 8008 als den weltweit ersten 8-Bit-Mikroprozessor. Er war die Basis für den berühmten „ Mark-8 “-Computerbausatz, der 1974 in der Zeitschrift Radio-Electronics beworben wurde . Dieser Prozessor hatte einen 8-Bit-Datenbus und einen 14-Bit-Adressbus.

Der 8008 war der Vorläufer des erfolgreichen Intel 8080 (1974), der eine verbesserte Leistung gegenüber dem 8008 bot und weniger Support-Chips benötigte. Federico Faggin konzipierte und entwarf es unter Verwendung von Hochspannungs-N-Kanal-MOS. Der Zilog Z80 (1976) war ebenfalls ein Faggin-Design, das einen Niederspannungs-N-Kanal mit Verarmungslast und abgeleitete Intel 8-Bit-Prozessoren verwendete: alle mit der Methodik entwickelt, die Faggin für den 4004 entwickelt hatte. Motorola veröffentlichte im August 1974 den konkurrierenden 6800 und den Die ähnliche MOS-Technologie 6502 wurde 1975 veröffentlicht (beide weitgehend von denselben Personen entworfen). Die 6502-Familie konkurrierte in den 1980er Jahren in der Popularität mit der Z80.

Niedrige Gesamtkosten, wenig Verpackung, einfache Anforderungen an den Computerbus und manchmal die Integration zusätzlicher Schaltkreise (z. B. der eingebauten Speicheraktualisierungsschaltkreise des Z80 ) ermöglichten es der Heimcomputer- „Revolution“, sich in den frühen 1980er Jahren stark zu beschleunigen. Dies lieferte so preiswerte Maschinen wie den Sinclair ZX81 , der für 99 US-Dollar (entspricht 295,08 US-Dollar im Jahr 2021) verkauft wurde. Eine Variante des 6502, die MOS-Technologie 6510 , wurde im Commodore 64 verwendet , und eine weitere Variante, der 8502, trieb den Commodore 128 an .

Das Western Design Center, Inc (WDC) führte 1982 den CMOS WDC 65C02 ein und lizenzierte das Design an mehrere Firmen. Es wurde als CPU in den Personalcomputern Apple IIe und IIc sowie in implantierbaren medizinischen Herzschrittmachern und Defibrillatoren , Automobil-, Industrie- und Verbrauchergeräten verwendet. WDC leistete Pionierarbeit bei der Lizenzierung von Mikroprozessordesigns, später gefolgt von ARM (32-Bit) und anderen Anbietern von geistigem Eigentum (IP) für Mikroprozessoren in den 1990er Jahren.

Motorola führte den MC6809 1978 ein. Es war ein ehrgeiziges und gut durchdachtes 8-Bit-Design, das mit dem 6800 quellkompatibel war und mit rein festverdrahteter Logik implementiert wurde (spätere 16-Bit-Mikroprozessoren verwendeten typischerweise bis zu einem gewissen Grad Mikrocode , wie z Die CISC -Designanforderungen wurden für eine reine festverdrahtete Logik zu komplex).

Ein weiterer früher 8-Bit-Mikroprozessor war der Signetics 2650 , der aufgrund seiner innovativen und leistungsstarken Befehlssatzarchitektur einen kurzen Anstieg des Interesses genoss .

Ein wegweisender Mikroprozessor in der Welt der Raumfahrt war der RCA 1802 (alias CDP1802, RCA COSMAC) von RCA (eingeführt 1976), der an Bord der Galileo -Sonde zum Jupiter verwendet wurde (gestartet 1989, angekommen 1995). RCA COSMAC war das erste Unternehmen, das die CMOS -Technologie implementierte. Der CDP1802 wurde verwendet, weil er mit sehr geringer Leistung betrieben werden konnte und weil eine Variante verfügbar war, die mit einem speziellen Produktionsprozess hergestellt wurde, Silizium auf Saphir (SOS), der einen viel besseren Schutz gegen kosmische Strahlung und elektrostatische Entladung bot als jeder andere Prozessor der Ära. So galt die SOS-Version des 1802 als der erste strahlungsgeschützte Mikroprozessor.

Der RCA 1802 hatte ein statisches Design , was bedeutet, dass die Taktfrequenz beliebig niedrig gemacht oder sogar gestoppt werden konnte. Dadurch konnte das Galileo - Raumschiff für lange, ereignislose Strecken einer Reise nur minimale elektrische Energie verbrauchen. Zeitgeber oder Sensoren würden den Prozessor rechtzeitig für wichtige Aufgaben wie Navigationsaktualisierungen, Lageregelung, Datenerfassung und Funkkommunikation aufwecken. Aktuelle Versionen des Western Design Centers 65C02 und 65C816 haben auch statische Kerne und behalten somit Daten, auch wenn die Uhr vollständig angehalten wird.

12-Bit-Designs

Die Intersil 6100 - Familie bestand aus einem 12-Bit- Mikroprozessor (dem 6100) und einer Reihe von Peripherieunterstützungs- und Speicher-ICs. Der Mikroprozessor erkannte den Befehlssatz des Minicomputers DEC PDP-8 . Als solches wurde es manchmal als CMOS-PDP8 bezeichnet . Da es auch von der Harris Corporation hergestellt wurde, war es auch als Harris HM-6100 bekannt . Aufgrund seiner CMOS-Technologie und der damit verbundenen Vorteile wurde der 6100 bis in die frühen 1980er Jahre in einige Militärdesigns integriert.

16-Bit-Designs

Der erste Multichip- 16-Bit- Mikroprozessor war der National Semiconductor IMP-16 , der Anfang 1973 eingeführt wurde. Eine 8-Bit-Version des Chipsatzes wurde 1974 als IMP-8 eingeführt.

Andere frühe 16-Bit-Multichip-Mikroprozessoren sind der MCP-1600 , den die Digital Equipment Corporation (DEC) im LSI-11- OEM-Board-Set und den verpackten PDP-11/03- Minicomputer verwendete – und der Fairchild Semiconductor MicroFlame 9440, die beide eingeführt wurden 1975–76. 1975 führte National den ersten 16-Bit-Einzelchip-Mikroprozessor ein, den National Semiconductor PACE , dem später eine NMOS - Version folgte, der INS8900 .

Ein weiterer früher Single-Chip-16-Bit-Mikroprozessor war der TMS 9900 von TI, der auch mit der TI-990- Reihe von Minicomputern kompatibel war . Der 9900 wurde im Minicomputer TI 990/4, im Heimcomputer TI-99/4A von Texas Instruments und in der TM990-Reihe von OEM-Mikrocomputerplatinen verwendet. Der Chip war in einem großen 64-Pin- DIP -Keramikgehäuse untergebracht , während die meisten 8-Bit-Mikroprozessoren wie der Intel 8080 das üblichere, kleinere und kostengünstigere 40-Pin-DIP aus Kunststoff verwendeten. Ein Folgechip, der TMS 9980, wurde entwickelt, um mit dem Intel 8080 zu konkurrieren, hatte den vollständigen TI 990 16-Bit-Befehlssatz, verwendete ein 40-poliges Kunststoffgehäuse, verschob Daten jeweils 8 Bit, konnte aber nur adressieren 16KB  . _ Ein dritter Chip, der TMS 9995, war ein neues Design. Die Familie wurde später um die Modelle 99105 und 99110 erweitert.

Das Western Design Center (WDC) führte 1984 das CMOS 65816 16-Bit-Upgrade des WDC CMOS 65C02 ein. Der 65816 16-Bit-Mikroprozessor war der Kern des Apple IIGS und später des Super Nintendo Entertainment System und war damit einer der wichtigsten beliebte 16-Bit-Designs aller Zeiten.

Intel hat sein 8080-Design auf den 16-Bit- Intel 8086 "aufgerüstet" , das erste Mitglied der x86 - Familie, das die meisten modernen PC -Computer antreibt. Intel führte den 8086 als kostengünstige Möglichkeit zur Portierung von Software aus den 8080-Reihen ein und konnte unter dieser Prämisse viele Geschäfte gewinnen. Der 8088 , eine Version des 8086, die einen externen 8-Bit-Datenbus verwendete, war der Mikroprozessor im ersten IBM-PC . Intel brachte dann den 80186 und 80188 , den 80286 und 1985 den 32-Bit 80386 auf den Markt und festigte seine Vorherrschaft auf dem PC-Markt mit der Abwärtskompatibilität der Prozessorfamilie. Die 80186 und 80188 waren im Wesentlichen Versionen der 8086 und 8088, die mit einigen integrierten Peripheriegeräten und einigen neuen Anweisungen erweitert wurden. Obwohl Intels 80186 und 80188 nicht in IBM PC-Designs verwendet wurden, wurden Second-Source-Versionen von NEC, V20 und V30, häufig verwendet. Der 8086 und seine Nachfolger hatten eine innovative, aber begrenzte Methode der Speichersegmentierung , während der 80286 eine voll funktionsfähige segmentierte Speicherverwaltungseinheit (MMU) einführte. Der 80386 führte ein flaches 32-Bit-Speichermodell mit ausgelagerter Speicherverwaltung ein.

Die 16-Bit-Intel x86-Prozessoren bis einschließlich 80386 enthalten keine Gleitkommaeinheiten (FPUs) . Intel führte die mathematischen Coprozessoren 8087 , 80187 , 80287 und 80387 ein, um den CPUs 8086 bis 80386 Hardware-Fließkomma- und Transzendentalfunktionsfähigkeiten hinzuzufügen. Der 8087 funktioniert mit dem 8086/8088 und 80186/80188, der 80187 funktioniert mit dem 80186, aber nicht mit dem 80188, der 80287 funktioniert mit dem 80286 und der 80387 funktioniert mit dem 80386. Die Kombination aus einer x86-CPU und einem x87-Koprozessor bildet einen einzelner Multi-Chip-Mikroprozessor; Die beiden Chips werden als Einheit unter Verwendung eines einzigen integrierten Befehlssatzes programmiert. Die Coprozessoren 8087 und 80187 sind parallel mit den Daten- und Adressbussen ihres Elternprozessors verbunden und führen direkt für sie bestimmte Befehle aus. Die Coprozessoren 80287 und 80387 sind über E/A-Ports im Adressraum der CPU mit der CPU verbunden, dies ist für das Programm transparent, das diese E/A-Ports nicht kennen oder direkt darauf zugreifen muss; das Programm greift auf den Coprozessor und seine Register durch normale Befehls-Opcodes zu.

32-Bit-Designs

Obere Verbindungsschichten auf einem Intel 80486 DX2-Die

16-Bit-Designs waren nur kurz auf dem Markt, als 32-Bit- Implementierungen auftauchten.

Das bedeutendste der 32-Bit-Designs ist das 1979 eingeführte Motorola MC68000 . Das 68k, wie es allgemein bekannt war, hatte 32-Bit-Register in seinem Programmiermodell, verwendete jedoch interne 16-Bit-Datenpfade, drei 16-Bit-Arithmetik Logikeinheiten und einen externen 16-Bit-Datenbus (um die Anzahl der Pins zu reduzieren) und extern unterstützte nur 24-Bit-Adressen (intern funktionierte es mit vollen 32-Bit-Adressen). In PC-basierten IBM-kompatiblen Großrechnern wurde der interne Mikrocode des MC68000 modifiziert, um den 32-Bit-System/370-IBM-Großrechner zu emulieren. Motorola beschrieb ihn allgemein als 16-Bit-Prozessor. Die Kombination aus hoher Leistung, großem (16  Megabyte oder 2 24  Byte) Speicherplatz und relativ niedrigen Kosten machte es zum beliebtesten CPU-Design seiner Klasse. Die Apple Lisa- und Macintosh -Designs verwendeten den 68000, ebenso wie eine Vielzahl anderer Designs Mitte der 1980er Jahre, darunter der Atari ST und der Commodore Amiga .

Der weltweit erste vollständige 32-Bit-Einzelchip-Mikroprozessor mit 32-Bit-Datenpfaden, 32-Bit-Bussen und 32-Bit-Adressen war der BELLMAC-32A von AT&T Bell Labs mit ersten Mustern im Jahr 1980 und allgemeiner Produktion im Jahr 1982 Nach der Veräußerung von AT&T im Jahr 1984 wurde es in WE 32000 (WE für Western Electric ) umbenannt und hatte zwei Folgegenerationen, WE 32100 und WE 32200. Diese Mikroprozessoren wurden in den Minicomputern AT&T 3B5 und 3B15 verwendet; im 3B2, dem weltweit ersten Desktop-Supermikrocomputer; im „Companion“, dem weltweit ersten 32-Bit- Laptop - Computer; und in „Alexander“, dem weltweit ersten Super-Mikrocomputer in Buchgröße, der mit ROM-Pack-Speicherkassetten ausgestattet ist, die den heutigen Spielkonsolen ähneln. Auf all diesen Systemen wurde das Betriebssystem UNIX System V ausgeführt.

Der erste kommerzielle Einchip-Mikroprozessor mit vollständig 32-Bit, der auf dem Markt erhältlich war, war der HP FOCUS .

Intels erster 32-Bit-Mikroprozessor war der iAPX 432 , der 1981 eingeführt wurde, aber kein kommerzieller Erfolg war. Es hatte eine fortschrittliche funktionsbasierte objektorientierte Architektur, aber eine schlechte Leistung im Vergleich zu zeitgenössischen Architekturen wie Intels eigener 80286 (eingeführt 1982), die bei typischen Benchmark-Tests fast viermal so schnell war. Die Ergebnisse für den iAPX432 waren jedoch teilweise einem überstürzten und daher suboptimalen Ada - Compiler geschuldet .

Motorolas Erfolg mit dem 68000 führte zum MC68010 , der Unterstützung für virtuellen Speicher hinzufügte . Der 1984 eingeführte MC68020 fügte vollständige 32-Bit-Daten- und Adressbusse hinzu. Der 68020 wurde auf dem Unix -Supermikrocomputermarkt sehr beliebt, und viele kleine Unternehmen (z. B. Altos , Charles River Data Systems , Cromemco ) produzierten Systeme in Desktop-Größe. Als nächstes wurde der MC68030 eingeführt, der das vorherige Design durch die Integration der MMU in den Chip verbesserte. Der anhaltende Erfolg führte zum MC68040 , der eine FPU für eine bessere Rechenleistung enthielt. Der 68050 erreichte seine Leistungsziele nicht und wurde nicht veröffentlicht, und der Nachfolger MC68060 wurde in einen Markt eingeführt, der von viel schnelleren RISC-Designs gesättigt war. Die 68k-Familie wurde Anfang der 1990er Jahre nicht mehr verwendet.

Andere große Unternehmen haben den 68020 und Nachfolger für eingebettete Geräte entwickelt. Früher gab es mehr 68020er in eingebetteten Geräten als Intel Pentiums in PCs. Die ColdFire -Prozessorkerne sind Derivate des 68020.

Während dieser Zeit (Anfang bis Mitte der 1980er Jahre) führte National Semiconductor einen sehr ähnlichen internen 32-Bit-Mikroprozessor mit 16-Bit-Pinbelegung namens NS 16032 (später umbenannt in 32016) ein, die vollständige 32-Bit-Version namens NS 32032 . Später produzierte National Semiconductor den NS 32132 , der es zwei CPUs ermöglichte, sich mit eingebauter Arbitrierung auf demselben Speicherbus zu befinden. Der NS32016/32 übertraf den MC68000/10, aber der NS32332 – der ungefähr zur gleichen Zeit wie der MC68020 auf den Markt kam – hatte nicht genug Leistung. Der Chip der dritten Generation, der NS32532, war anders. Es hatte etwa die doppelte Leistung des MC68030, der ungefähr zur gleichen Zeit veröffentlicht wurde. Das Erscheinen von RISC-Prozessoren wie AM29000 und MC88000 (jetzt beide tot) beeinflusste die Architektur des letzten Kerns, des NS32764. Technisch fortgeschritten – mit einem superskalaren RISC-Kern, 64-Bit-Bus und intern übertaktet – könnte es immer noch Anweisungen der Serie 32000 durch Echtzeit-Übersetzung ausführen.

Als National Semiconductor beschloss, den Unix-Markt zu verlassen, wurde der Chip in den Swordfish Embedded-Prozessor mit einer Reihe von On-Chip-Peripheriegeräten umgestaltet. Der Chip erwies sich als zu teuer für den Laserdruckermarkt und wurde vernichtet. Das Designteam ging zu Intel und entwarf dort den Pentium-Prozessor, der intern dem NS32764-Kern sehr ähnlich ist. Der große Erfolg der Serie 32000 war auf dem Markt für Laserdrucker zu verzeichnen, wo der NS32CG16 mit mikrocodierten BitBlt-Anweisungen ein sehr gutes Preis-Leistungs-Verhältnis hatte und von großen Unternehmen wie Canon übernommen wurde. Mitte der 1980er Jahre stellte Sequent den ersten Computer der SMP-Serverklasse mit dem NS 32032 vor. Dies war einer der wenigen Erfolge des Designs und verschwand Ende der 1980er Jahre. Die MIPS R2000 (1984) und R3000 (1989) waren äußerst erfolgreiche 32-Bit-RISC-Mikroprozessoren. Sie wurden unter anderem in High-End-Workstations und -Servern von SGI eingesetzt . Andere Designs umfassten den Zilog Z80000 , der zu spät auf den Markt kam, um eine Chance zu haben, und schnell wieder verschwand.

Der ARM kam erstmals 1985 auf den Markt. Dies ist ein RISC -Prozessordesign, das seither den Bereich der 32-Bit- Embedded - Systemprozessoren zum großen Teil aufgrund seiner Energieeffizienz, seines Lizenzmodells und seiner großen Auswahl an Systementwicklungstools dominiert. Halbleiterhersteller lizenzieren im Allgemeinen Kerne und integrieren sie in ihre eigenen System-on-a-Chip- Produkte; Nur wenige solcher Anbieter wie Apple sind lizenziert, die ARM-Kerne zu modifizieren oder ihre eigenen zu erstellen. Die meisten Mobiltelefone enthalten einen ARM-Prozessor, ebenso wie eine Vielzahl anderer Produkte. Es gibt Mikrocontroller-orientierte ARM-Kerne ohne Unterstützung für virtuellen Speicher sowie Anwendungsprozessoren mit symmetrischem Multiprozessor (SMP) und virtuellem Speicher.

Von 1993 bis 2003 dominierten die 32-Bit- x86 - Architekturen zunehmend die Märkte für Desktops , Laptops und Server, und diese Mikroprozessoren wurden schneller und leistungsfähiger. Intel hatte frühere Versionen der Architektur an andere Unternehmen lizenziert, lehnte es jedoch ab, den Pentium zu lizenzieren, sodass AMD und Cyrix spätere Versionen der Architektur auf der Grundlage ihrer eigenen Designs bauten. Während dieser Spanne nahmen diese Prozessoren an Komplexität (Transistoranzahl) und Leistungsfähigkeit (Befehle/Sekunde) um mindestens drei Größenordnungen zu. Intels Pentium-Reihe ist wahrscheinlich das bekannteste und bekannteste 32-Bit-Prozessormodell, zumindest in der breiten Öffentlichkeit.

64-Bit-Designs in PCs

Während 64-Bit- Mikroprozessordesigns seit Anfang der 1990er Jahre in mehreren Märkten verwendet werden (einschließlich der Nintendo 64 - Spielekonsole im Jahr 1996), wurden Anfang der 2000er Jahre 64-Bit-Mikroprozessoren für den PC-Markt eingeführt.

Mit der Einführung einer mit x86 abwärtskompatiblen 64-Bit-Architektur durch AMD im September 2003 folgte x86-64 (auch AMD64 genannt ), gefolgt von Intels nahezu vollständig kompatiblen 64-Bit-Erweiterungen (zuerst IA-32e oder EM64T genannt, später in Intel umbenannt). 64 ) begann die Ära der 64-Bit-Desktops. Beide Versionen können 32-Bit-Legacy-Anwendungen ohne Leistungseinbußen sowie neue 64-Bit-Software ausführen. Mit den Betriebssystemen Windows XP x64 , Windows Vista x64, Windows 7 x64, Linux , BSD und macOS , die 64-Bit nativ laufen, ist die Software auch darauf ausgerichtet, die Fähigkeiten solcher Prozessoren voll auszuschöpfen. Die Umstellung auf 64 Bit ist mehr als nur eine Erhöhung der Registergröße gegenüber dem IA-32, da sie auch die Anzahl der Universalregister verdoppelt.

Die Umstellung auf 64 Bit durch PowerPC war seit dem Entwurf der Architektur in den frühen 90er Jahren beabsichtigt und war keine Hauptursache für Inkompatibilität. Vorhandene Integer-Register werden ebenso wie alle zugehörigen Datenpfade erweitert, aber wie im Fall von IA-32 arbeiteten sowohl Gleitkomma- als auch Vektoreinheiten seit mehreren Jahren mit oder über 64 Bit. Anders als bei der Erweiterung von IA-32 auf x86-64 wurden in 64-Bit-PowerPC keine neuen Allzweckregister hinzugefügt, sodass der Leistungsgewinn bei der Verwendung des 64-Bit-Modus für Anwendungen, die den größeren Adressraum nicht nutzen, minimal ist .

2011 führte ARM die neue 64-Bit-ARM-Architektur ein.

RISIKO

Mitte der 1980er bis Anfang der 1990er Jahre erschien eine Reihe neuer Hochleistungs-Mikroprozessoren mit reduziertem Befehlssatz ( RISC ), beeinflusst von diskreten RISC-ähnlichen CPU-Designs wie dem IBM 801 und anderen. RISC-Mikroprozessoren wurden ursprünglich in Spezialmaschinen und Unix - Workstations verwendet , fanden dann aber auch in anderen Rollen breite Akzeptanz.

Das erste kommerzielle RISC-Mikroprozessordesign wurde 1984 von MIPS Computer Systems veröffentlicht, der 32-Bit- R2000 (der R1000 wurde nicht veröffentlicht). 1986 veröffentlichte HP sein erstes System mit einer PA-RISC- CPU. 1987 wurde der 32-Bit-, damals Cache-lose, ARM2 - basierte Acorn Archimedes der Nicht-Unix- Acorn-Computer der erste kommerzielle Erfolg unter Verwendung der ARM-Architektur , damals bekannt als Acorn RISC Machine (ARM); der erste Silizium -ARM1 im Jahr 1985. Der R3000 machte das Design wirklich praktisch, und der R4000 führte den weltweit ersten kommerziell erhältlichen 64-Bit-RISC-Mikroprozessor ein. Konkurrierende Projekte würden zu den Architekturen IBM POWER und Sun SPARC führen. Bald veröffentlichte jeder große Anbieter ein RISC-Design, einschließlich AT&T CRISP , AMD 29000 , Intel i860 und Intel i960 , Motorola 88000 , DEC Alpha .

In den späten 1990er Jahren wurden nur noch zwei 64-Bit-RISC-Architekturen für nicht eingebettete Anwendungen in Serie produziert: SPARC und Power ISA , aber da ARM immer leistungsfähiger wurde, wurde es Anfang der 2010er Jahre die dritte RISC-Architektur im Allgemeinen Rechensegment.

SMP- und Multi-Core-Design

ein Zwei-Wege-Motherboard
Das ABIT BP6-Motherboard unterstützt zwei Intel Celeron 366 MHz-Prozessoren. Das Bild zeigt Zalman-Kühlkörper.
ein Computer-Motherboard mit angebrachten Zalman-Kühlkörpern
Abit BP6 Dual-Socket-Motherboard mit Zalman Flower-Kühlkörpern abgebildet.

SMP Symmetric Multiprocessing ist eine Konfiguration von zwei, vier oder mehr CPUs (paarweise), die seit den 1990er Jahren typischerweise in Servern, bestimmten Workstations und Desktop-Personalcomputern verwendet werden. Ein Mehrkernprozessor ist eine einzelne CPU, die mehr als einen Mikroprozessorkern enthält.

Dieses beliebte Motherboard mit zwei Sockeln von Abit wurde 1999 als erstes SMP-fähiges PC-Motherboard herausgebracht. Der Intel Pentium Pro war die erste kommerzielle CPU, die Systemherstellern und Enthusiasten angeboten wurde. Der Abit BP9 unterstützt zwei Intel Celeron CPUs und bei Verwendung mit einem SMP-fähigen Betriebssystem (Windows NT/2000/Linux) erzielen viele Anwendungen eine viel höhere Leistung als eine einzelne CPU. Die frühen Celerons sind leicht übertaktbar und Bastler verwendeten diese relativ preiswerten CPUs mit einer Taktrate von bis zu 533 MHz – weit über Intels Spezifikation. Nachdem Intel die Kapazität dieser Motherboards entdeckt hatte, entfernte Intel den Zugriff auf den Multiplikator in späteren CPUs.

Im Jahr 2001 veröffentlichte IBM die POWER4- CPU, einen Prozessor, der über fünf Jahre Forschung entwickelt wurde und 1996 mit einem Team von 250 Forschern begann. Die Bemühungen, das Unmögliche zu erreichen, wurden durch die Entwicklung von und durch Remote-Zusammenarbeit und die Zuordnung jüngerer Ingenieure zur Zusammenarbeit mit erfahreneren Ingenieuren unterstützt. Die Arbeit des Teams war mit dem neuen Mikroprozessor Power4 erfolgreich. Es ist eine Zwei-in-Eins-CPU, die die Leistung zum halben Preis der Konkurrenz mehr als verdoppelt und einen großen Fortschritt in der Computertechnik darstellt. Das Wirtschaftsmagazin eWeek schrieb: „Der neu konzipierte 1GHz Power4 stellt einen gewaltigen Sprung gegenüber seinem Vorgänger dar“ . Ein Branchenanalyst, Brad Day von der Giga Information Group, sagte: „IBM wird sehr aggressiv, und dieser Server ist ein Wendepunkt“.

Der Power4 gewann den " Analysts' Choice Award für den besten Workstation/Server-Prozessor des Jahres 2001" und brach bemerkenswerte Rekorde, einschließlich des Gewinns eines Wettbewerbs gegen die besten Spieler auf der Jeopardy! US-Fernsehsendung.

Intels Codenamen-Yonah -CPUs wurden am 6. Januar 2006 auf den Markt gebracht und wurden mit zwei Chips hergestellt, die auf einem Multi-Chip-Modul untergebracht waren . In einem heiß umkämpften Markt veröffentlichten AMD und andere neue Versionen von Multi-Core-CPUs, AMDs SMP-fähige Athlon MP -CPUs aus der AthlonXP -Reihe im Jahr 2001, Sun veröffentlichte Niagara und Niagara 2 mit acht Kernen, AMDs Athlon X2 wurde im Juni veröffentlicht 2007. Die Unternehmen befanden sich in einem nie endenden Wettlauf um Geschwindigkeit, denn anspruchsvollere Software erforderte tatsächlich mehr Rechenleistung und schnellere CPU-Geschwindigkeiten.

Bis 2012 wurden Dual- und Quad-Core- Prozessoren in PCs und Laptops weit verbreitet, neuere Prozessoren – ähnlich den teureren professionellen Intel Xeon-Prozessoren – mit zusätzlichen Kernen, die Anweisungen parallel ausführen, sodass die Softwareleistung normalerweise steigt, vorausgesetzt, die Software ist dafür ausgelegt fortschrittliche Hardware. Betriebssysteme boten Unterstützung für Mehrkern- und SMD-CPUs, viele Softwareanwendungen, einschließlich großer Arbeitslast und ressourcenintensiver Anwendungen – wie 3-D-Spiele – sind so programmiert, dass sie Mehrkern- und Multi-CPU-Systeme nutzen.

Apple, Intel und AMD führen derzeit den Markt mit Desktop- und Workstation-CPUs mit mehreren Kernen an. Obwohl sie sich häufig gegenseitig Hip-Hop machen, um die Führung in der Leistungsstufe zu erreichen. Intel behält höhere Frequenzen bei und hat daher die schnellste Einzelkernleistung, während AMD aufgrund einer fortschrittlicheren ISA und des Prozessknotens, auf dem die CPUs hergestellt werden, häufig führend bei Multithread-Routinen ist.

Multiprocessing -Konzepte für Multi-Core/Multi-CPU-Konfigurationen beziehen sich auf das Gesetz von Amdahl .

Marktstatistik

1997 waren etwa 55 % aller weltweit verkauften CPUs 8-Bit- Mikrocontroller , von denen über 2 Milliarden verkauft wurden.

Im Jahr 2002 waren weniger als 10 % aller weltweit verkauften CPUs 32-Bit oder mehr. Von allen verkauften 32-Bit-CPUs werden etwa 2 % in Desktop- oder Laptop-PCs verwendet. Die meisten Mikroprozessoren werden in eingebetteten Steuerungsanwendungen wie Haushaltsgeräten, Automobilen und Computerperipheriegeräten verwendet. Insgesamt liegt der Durchschnittspreis für einen Mikroprozessor, Mikrocontroller oder DSP bei knapp über 6 US-Dollar (entspricht 9,04 US-Dollar im Jahr 2021).

Im Jahr 2003 wurden Mikroprozessoren im Wert von etwa 44 Milliarden US-Dollar (das entspricht etwa 65 Milliarden US-Dollar im Jahr 2021) hergestellt und verkauft. Obwohl etwa die Hälfte dieses Geldes für CPUs ausgegeben wurde, die in Desktop- oder Laptop -PCs verwendet werden, machen diese nur etwa 2 % aller verkauften CPUs aus. Der qualitätsbereinigte Preis von Laptop-Mikroprozessoren verbesserte sich 2004–2010 um –25 % bis –35 % pro Jahr, und die Verbesserungsrate verlangsamte sich 2010–2013 auf –15 % bis –25 % pro Jahr.

Im Jahr 2008 wurden etwa 10 Milliarden CPUs hergestellt. Die meisten neuen CPUs, die jedes Jahr produziert werden, sind eingebettet.

Siehe auch

Anmerkungen

Verweise

  • Strahl, AK; Bhurchand, KM Fortgeschrittene Mikroprozessoren und Peripheriegeräte . Indien: Tata McGraw-Hill.

Externe Links