Starker Arm - StrongARM

DEC StrongARM SA-110 Mikroprozessor

Der StrongARM ist eine Familie von Computer - Mikroprozessoren entwickelt von Digital Equipment Corporation und in den späten 1990er Jahren hergestellt , die die implementiert ARM v4 - Befehlssatzarchitektur . Später wurde es 1997 an Intel verkauft , das es weiter herstellte, bevor es Anfang der 2000er Jahre durch das XScale ersetzt wurde.

Geschichte

Laut Allen Baum führt der StrongARM seine Geschichte auf Versuche zurück, eine stromsparende Version des DEC Alpha herzustellen , von denen die Ingenieure von DEC schnell schlussfolgerten, dass dies nicht möglich war. Dann interessierten sie sich für Designs für Anwendungen mit geringem Stromverbrauch, die sie zur ARM-Familie führten. Einer der einzigen großen Nutzer des ARM für leistungsbezogene Produkte war damals Apple , dessen Newton- Gerät auf der ARM-Plattform basierte. DEC wandte sich an Apple und fragte sich, ob sie an einem Hochleistungs-ARM interessiert sein könnten, worauf die Apple-Ingenieure antworteten: "Phht, ja. Sie können es nicht tun, aber ja, wenn Sie könnten, würden wir es verwenden."

Der StrongARM war ein Gemeinschaftsprojekt zwischen DEC und Advanced RISC Machines , um einen schnelleren ARM-Mikroprozessor zu entwickeln. Der StrongARM wurde entwickelt, um das obere Ende des Low-Power-Embedded-Marktes zu adressieren, bei dem Benutzer mehr Leistung benötigten, als der ARM liefern konnte, während sie mehr externe Unterstützung akzeptieren konnten. Ziele waren Geräte wie neuere persönliche digitale Assistenten und Set-Top-Boxen .

Traditionell befand sich die Halbleiterabteilung von DEC in Massachusetts . Um Zugang zu den Designtalenten im Silicon Valley zu erhalten , eröffnete DEC ein Designzentrum in Palo Alto, Kalifornien . Dieses Designzentrum wurde von Dan Dobberpuhl geleitet und war die Hauptdesign- Site für das StrongARM-Projekt. Eine andere Design-Site, die an dem Projekt arbeitete, war in Austin, Texas , die von einigen ehemaligen DEC-Designern erstellt wurde, die von Apple Computer und Motorola zurückkehrten . Das Projekt wurde 1995 gegründet und lieferte schnell seinen ersten Entwurf, den SA-110 .

DEC stimmte im Rahmen eines Rechtsstreits im Jahr 1997 zu, StrongARM an Intel zu verkaufen. Intel nutzte den StrongARM, um seine marode Reihe von RISC-Prozessoren, den i860 und i960, zu ersetzen .

Als die Halbleitersparte von DEC an Intel verkauft wurde, wechselten viele Ingenieure der Palo Alto Design Group zu SiByte , einem Start-up-Unternehmen, das MIPS- System-on-a-Chip (SoC)-Produkte für den Netzwerkmarkt entwickelt. Aus der Designgruppe Austin wurde Alchemy Semiconductor , ein weiteres Start-up-Unternehmen, das MIPS-SoCs für den Handheld-Markt entwickelt. Ein neuer StrongARM-Kern wurde von Intel entwickelt und im Jahr 2000 als XScale eingeführt .

SA-110

Der SA-110 war der erste Mikroprozessor der StrongARM-Familie. Die ersten Versionen, die mit 100, 160 und 200 MHz betrieben werden, wurden am 5. Februar 1996 angekündigt. Bei der Ankündigung waren Muster dieser Versionen verfügbar, die Serienproduktion ist für Mitte 1996 geplant. Schnellere 166- und 233-MHz-Versionen wurden am 12. September 1996 angekündigt. Muster dieser Versionen waren bei der Ankündigung verfügbar, die Serienproduktion ist für Dezember 1996 geplant. 1996 war der SA-110 der leistungsstärkste Mikroprozessor für tragbare Geräte. Gegen Ende 1996 war sie eine führende CPU für Internet-/Intranet-Appliances und Thin-Client- Systeme. Der erste Design-Win des SA-110 war das Apple MessagePad 2000 . Es wurde auch in einer Reihe von Produkten verwendet, darunter dem Acorn Computers Risc PC und dem Eidos Optima Videobearbeitungssystem. Die leitenden Designer des SA-110 waren Daniel W. Dobberpuhl , Gregory W. Hoeppner, Liam Madden und Richard T. Witek.

Beschreibung

Der SA-110 hatte eine einfache Mikroarchitektur . Es war ein skalares Design, das Anweisungen der Reihe nach mit einer fünfstufigen klassischen RISC-Pipeline ausführte . Der Mikroprozessor war in mehrere Blöcke unterteilt, die IBOX, EBOX, IMMU, DMMU, BIU, WB und PLL. Die IBOX enthielt Hardware, die in den ersten beiden Stufen der Pipeline funktionierte, wie beispielsweise der Programmzähler . Es holte, dekodierte und gab Anweisungen. Der Befehlsabruf erfolgt während der ersten Stufe, die Decodierung und Ausgabe während der zweiten. Die IBOX dekodiert die komplexeren Befehle im ARM-Befehlssatz, indem sie sie in Sequenzen einfacherer Befehle übersetzt. Die IBOX verarbeitete auch Verzweigungsbefehle. Der SA-110 hatte keine Hardware zur Vorhersage von Verzweigungen , aber Mechanismen für ihre schnelle Verarbeitung.

Die Ausführung beginnt in Stufe drei. Die Hardware, die während dieser Phase arbeitet, ist in der EBOX enthalten, die die Registerdatei , die arithmetische Logikeinheit (ALU), den Barrel-Shifter , den Multiplizierer und die Bedingungscodelogik umfasst. Die Registerdatei hatte drei Leseports und zwei Schreibports. Die ALU und der Barrel-Shifter führten Befehle in einem einzigen Zyklus aus. Der Multiplikator ist nicht gepipelinet und hat eine Latenz von mehreren Zyklen.

Die IMMU und DMMU sind Speicherverwaltungseinheiten für Befehle bzw. Daten. Jede MMU enthielt einen vollständig assoziativen Übersetzungs-Lookaside-Puffer (TLB) mit 32 Einträgen , der Seiten von 4 KB, 64 KB oder 1 MB abbilden kann . Der Schreibpuffer (WB) hat acht 16-Byte-Einträge. Es ermöglicht das Pipelining von Geschäften. Die Bus Interface Unit (BIU) versorgte den SA-110 mit einer externen Schnittstelle.

Die PLL erzeugt das interne Taktsignal aus einem externen 3,68-MHz-Taktsignal. Es wurde nicht von DEC entworfen, sondern vom Centre Suisse d'Electronique et de Microtechnique (CSEM) in Neuchâtel, Schweiz, beauftragt .

Der Instruktions- Cache und der Daten-Cache haben jeweils eine Kapazität von 16 KB und sind 32-fach satzassoziativ und virtuell adressiert. Der SA-110 wurde für die Verwendung mit langsamem (und daher kostengünstigem) Speicher entwickelt, und daher ermöglicht die hohe Satzassoziativität eine höhere Trefferrate als konkurrierende Designs, und die Verwendung virtueller Adressen ermöglicht das gleichzeitige Zwischenspeichern und Entcachen des Speichers. Die Caches sind für den größten Teil der Transistoranzahl verantwortlich und nehmen die Hälfte der Chipfläche ein.

Der SA-110 enthielt 2,5 Millionen Transistoren und ist 7,8 mm mal 6,4 mm groß (49,92 mm 2 ). Es wurde von DEC in seinem proprietären CMOS-6-Prozess in seiner Fab 6- Fabrik in Hudson, Massachusetts, hergestellt. CMOS-6 war der komplementäre Metall-Oxid-Halbleiter (CMOS)-Prozess der sechsten Generation von DEC . CMOS-6 hat eine Strukturgröße von 0,35 µm, eine effektive Kanallänge von 0,25 µm, aber für die Verwendung mit dem SA-110 nur drei Ebenen der Aluminiumverbindung . Es verwendete ein Netzteil mit einer variablen Spannung von 1,2 bis 2,2 Volt (V), um Designs zu ermöglichen, ein Gleichgewicht zwischen Stromverbrauch und Leistung zu finden (höhere Spannungen ermöglichen höhere Taktraten). Der SA-110 war in einem 144-Pin Thin Quad Flat Pack (TQFP) verpackt.

SA-1100

Der SA-1100 war ein Derivat des von DEC entwickelten SA-110. Der 1997 angekündigte SA-1100 wurde für tragbare Anwendungen wie PDAs entwickelt und unterscheidet sich vom SA-110 durch eine Reihe von Funktionen, die für solche Anwendungen wünschenswert sind. Um diesen Funktionen gerecht zu werden, wurde der Datencache auf 8 KB verkleinert.

Die zusätzlichen Merkmale sind integrierter Speicher, PCMCIA und Farb-LCD-Controller, die an einen Systembus auf dem Chip angeschlossen sind, und fünf serielle E/A-Kanäle, die an einen Peripheriebus angeschlossen sind, der an den Systembus angeschlossen ist. Der Speichercontroller unterstützte FPM und EDO DRAM, SRAM, Flash und ROM. Der PCMCIA-Controller unterstützt zwei Steckplätze. Der Speicheradressen- und Datenbus wird mit der PCMCIA-Schnittstelle geteilt. Glue-Logik ist erforderlich. Die seriellen I/O-Kanäle implementieren eine Slave-USB-Schnittstelle, einen SDLC , zwei UARTs , eine IrDA- Schnittstelle, einen MCP und einen synchronen seriellen Port .

Der SA-1100 hatte einen Companion-Chip, den SA-1101. Es wurde von Intel am 7. Oktober 1998 eingeführt. Der SA-1101 bot zusätzliche Peripheriegeräte, um die im SA-1100 integrierten zu ergänzen, wie einen Videoausgangsport, zwei PS/2- Ports, einen USB-Controller und einen PCMCIA-Controller, der den auf dem Gerät ersetzt der SA-1100. Das Design des Geräts begann von DEC, war aber nur teilweise abgeschlossen, als es von Intel übernommen wurde, das das Design fertigstellen musste. Es wurde in der ehemaligen Produktionsstätte von DEC in Hudson, Massachusetts, hergestellt, die auch an Intel verkauft wurde.

Der SA-1100 enthielt 2,5 Millionen Transistoren und maß 8,24 mm mal 9,12 mm (75,15 mm 2 ). Es wurde in einem 0,35-μm-CMOS-Prozess mit drei Ebenen von Aluminiumverbindungen hergestellt und in einem 208-Pin-TQFP verpackt.

Einer der ersten Empfänger dieses Prozessors war das unglückliche Psion NetBook und sein eher verbraucherorientierter Bruder Psion Series 7 .

SA-1110

Der SA-1110 war ein Derivat des von Intel entwickelten SA-110. Es wurde am 31. März 1999 als Alternative zum SA-1100 angekündigt. Bei der Ankündigung wurden die Proben für Juni 1999 und das Volumen später in diesem Jahr festgelegt. Intel stellte den SA-1110 Anfang 2003 ein. Der SA-1110 war in 133- oder 206-MHz-Versionen erhältlich. Er unterschied sich vom SA-1100 dadurch, dass er 66 MHz (nur 133-MHz-Version) oder 103 MHz (nur 206-MHz-Version) SDRAM unterstützt . Sein Companion-Chip, der zusätzliche Unterstützung für Peripheriegeräte bot, war der SA-1111. Der SA-1110 war in einem 256-Pin- Mikrokugelgitter-Array verpackt . Es wurde in Mobiltelefonen, persönlichen Datenassistenten (PDAs) wie dem Compaq (später HP) iPAQ und HP Jornada , den Sharp SL-5x00 Linux-basierten Plattformen und dem Simputer verwendet . Es wurde auch verwendet, um das Intel Web Tablet zu betreiben, ein Tablet-Gerät, das möglicherweise als erstes Gerät zum mobilen Surfen im Internet mit großen Bildschirmen gilt. Intel hat das Produkt kurz vor der Markteinführung im Jahr 2001 eingestellt.

SA-1500

Der SA-1500 war ein Derivat des SA-110, der von DEC ursprünglich für Set-Top-Boxen entwickelt wurde . Es wurde von DEC in kleinen Stückzahlen entwickelt und hergestellt, aber nie von Intel in Produktion genommen. Der SA-1500 war mit 200 bis 300 MHz verfügbar. Der SA-1500 verfügte über einen verbesserten SA-110-Kern, einen On-Chip- Coprozessor namens Attached Media Processor (AMP) und einen On-Chip-SDRAM- und I/O-Bus-Controller. Der SDRAM-Controller unterstützte 100 MHz SDRAM, und der I/O-Controller implementierte einen 32-Bit-I/O-Bus, der mit Frequenzen von bis zu 50 MHz laufen kann, um Peripheriegeräte und den Companion-Chip SA-1501 anzuschließen.

Der AMP implementierte einen Befehlssatz mit langen Befehlsworten, der Befehle enthält, die für Multimedia entworfen wurden, wie Integer- und Gleitkomma- Multiplikations-Akkumulieren und SIMD- Arithmetik. Jedes lange Befehlswort ist 64 Bit breit und spezifiziert eine arithmetische Operation und eine Verzweigung oder ein Laden/Speichern. Befehle arbeiten mit Operanden aus einer 36-Bit-Registerdatei mit 64 Einträgen und einem Satz von Steuerregistern. Der AMP kommuniziert mit dem SA-110-Kern über einen On-Chip-Bus und teilt sich den Daten-Cache mit dem SA-110. Der AMP enthielt eine ALU mit einem Schieber, einer Verzweigungseinheit, einer Lade-/Speichereinheit, einer Multiplizier-Akkumulationseinheit und einer Gleitkommaeinheit mit einfacher Genauigkeit . Der AMP unterstützte benutzerdefinierte Anweisungen über einen beschreibbaren Steuerspeicher mit 512 Einträgen.

Der Companion-Chip SA-1501 bietet zusätzliche Video- und Audioverarbeitungsfähigkeiten und verschiedene I/O-Funktionen wie PS/2-Ports, einen parallelen Port und Schnittstellen für verschiedene Peripheriegeräte.

Der SA-1500 enthält 3,3 Millionen Transistoren und misst 60 mm 2 . Es wurde in einem 0,28 µm CMOS-Prozess hergestellt. Es verwendet ein internes Netzteil von 1,5 bis 2,0 V und 3,3 VI/O und verbraucht weniger als 0,5 W bei 100 MHz und 2,5 W bei 300 MHz. Es war in einem 240-Pin-Metall- Quad-Flat-Gehäuse oder einem 256-Kugel- Kunststoff-Ball-Grid-Array verpackt .

StrongARM-Verriegelung

Der StrongARM-Latch ist eine elektronische Latch- Schaltungstopologie, die erstmals von den Toshiba- Ingenieuren Tsuguo Kobayashi et al. vorgeschlagen wurde . und erhielt große Aufmerksamkeit, nachdem sie in StrongARM-Mikroprozessoren verwendet wurde. Es wird häufig als Leseverstärker , Komparator oder einfach nur als robuster Latch mit hoher Empfindlichkeit verwendet.

Verweise

Weiterlesen

  • "StrongARM-1500 packt mit MPEG-2". (8. Dezember 1997). Mikroprozessorbericht .
  • Halfhill, Tom R. (19. April 1999). "Intel beugt StrongArm mit neuen Chips". Mikroprozessorbericht .
  • Litch, Tim; Slaton, Jeff (März/April 1998). "StrongARMing Portable Communications". IEEE-Mikro . S. 48–55.
  • Santhanam, S. et al. (November 1998). „Eine kostengünstige 300-MHz-RISC-CPU mit angeschlossenem Mediaprozessor“. IEEE Journal of Solid-State Circuits , vol. 33, nein. 11. S. 1829–1839.
  • Turley, Jim (13. November 1995). "StrongArm verbessert die ARM-Leistung". Mikroprozessorbericht .
  • Turley, Jim (15. September 1997). "SA-1100 setzt PDA auf einen Chip". Mikroprozessorbericht .
  • Witek, Rich; Montanaro, James (1996). "StrongARM: Ein leistungsstarker ARM-Prozessor". Proceedings of COMPCON '96 , S. 188–191.